Hf-based high-k dielectrics : process development, performance characterization, and reliability /

Saved in:
Bibliographic Details
Author / Creator:Kim, Young-Hee, 1972-
Edition:1st ed.
Imprint:San Rafael, Calif. (1537 Fourth Street, San Rafael, CA 94901 USA) : Morgan & Claypool Publishers, c2005.
Description:1 electronic text (x, 92 p. : ill.) : digital file.
Language:English
Series:Synthesis lectures on solid state materials and devices, 1932-1724 ; #1
Synthesis lectures on solid state materials and devices (Online), #1.
Subject:Dielectrics.
Hafnium oxide.
Integrated circuits -- Reliability.
Semiconductors -- Junctions.
Breakdown (Electricity)
Metal oxide semiconductor field-effect transistors.
Breakdown (Electricity)
Dielectrics.
Hafnium oxide.
Integrated circuits -- Reliability.
Metal oxide semiconductor field-effect transistors.
Semiconductors -- Junctions.
Format: E-Resource Book
URL for this record:http://pi.lib.uchicago.edu/1001/cat/bib/8512758
Hidden Bibliographic Details
Other authors / contributors:Lee, Jack Chung-Yeung.
ISBN:1598290045 (electronic bk.)
Notes:Title from PDF t.p. (viewed on Oct. 27, 2008).
Series from website.
Includes bibliographical references (p. 86-90).
Abstract freely available; full-text restricted to subscribers or individual document purchasers.
Also available in print.
Mode of access: World Wide Web.
System requirements: Adobe Acrobat Reader.
Summary:In this work, the reliability of HfO2 (hafnium oxide) with poly gate and dual metal gate electrode (Ru-Ta alloy, Ru) was investigated. Hard breakdown and soft breakdown, particularly the Weibull slopes, were studied under constant voltage stress. Dynamic stressing has also been used. It was found that the combination of trapping and detrapping contributed to the enhancement of the projected lifetime. The results fromthe polarity dependence studies showed that the substrate injection exhibited a shorter projected lifetime and worse soft breakdown behavior, compared to the gate injection. The origin of soft breakdown (first breakdown) was studied and the results suggested that the soft breakdownmay be due to one layer breakdown in the bilayer structure (HfO2/SiO2: 4 nm/4 nm). Low Weibull slope was in part attributed to the lower barrier height of HfO2 at the interface layer. Interface layer optimization was conducted in terms of mobility, swing, and short channel effect using deep submicron MOSFET devices.
Standard no.:10.2200/S00005ED1V01Y200508SSM001